Foundry Wars Begin


Semiconductor Engineering

April 19, 2021

Leading-edge foundry vendors are gearing up for a new, high-stakes spending and technology race, setting the stage for a possible shakeup across the semiconductor manufacturing landscape.

In March, Intel re-entered the foundry business, positioning itself against Samsung and TSMC at the leading edge, and against a multitude of foundries working at older nodes. Intel announced plans to build two new fabs with a capital spending budget set at $20 billion in 2021.

Earlier this month, TSMC responded by raising the ante, increasing its capital spending budget to $30 billion, up from $28 billion in its previous forecast. In total, TSMC plans to spend $100 billion over the next three years. TSMC, Samsung and others also are building new fabs. These announcements are reminiscent of events more than a decade ago, when foundries were involved in a capital spending, fab and technology race to gain a leadership position. As in the past, it’s unclear if all vendors will make good on their current promises due to technical challenges and market uncertainties.

The foundry market is a large but fragmented business, in which about two dozen vendors compete in several technology segments. The leading-edge segment is especially dynamic, where foundries manufacture the world’s most advanced chips, such as FPGAs and processors, for outside customers.

A decade ago, there were a half-dozen leading-edge foundry vendors. But as fab and technology costs escalated over time, this segment experienced a shakeout. Today, Samsung and TSMC are the only two foundry vendors capable of providing processes at the most advanced logic nodes, namely 7nm and 5nm, with 3nm in R&D.

For some time, there has been a desire to have more leading-edge vendors in the market, providing more options at competitive prices. That’s especially true today, where strong demand has led in some cases to capacity shortages. Wafer prices are increasing at each node. And to make matters worse, the ongoing U.S.-China trade war is compounding tensions in Taiwan, where most of the leading process technology is located today. Any disruption would have a major impact on access to technology.

Intel’s re-entry into the foundry business could fill a gap, but it has a lot to prove. Back in 2010, when Intel initially entered the foundry business, it failed to execute. Eight years later, it exited the market. At that time, Intel also encountered several delays with its 10nm process, causing it to lose its technology leadership position to both TSMC and Samsung.

Today, under new leadership, Intel vows it will close the technology gap for its own chips, as well as become a more competitive foundry vendor. It faces an uphill battle, however. “I’m skeptical on a number of fronts. Number one, they are behind TSMC. The first order of business is to catch up to TSMC, and that’s not an easy or guaranteed thing. TSMC almost has to slip up for Intel to catch them, or Intel has to spend a whole boatload more money, which is going to be difficult in either case,” said Robert Maire, president of Semiconductor Advisors. “You would certainly like to have three [leading-edge foundry vendors]. Intel has potential. The landscape isn’t going to change anytime in the next two or three years. The question is four or five years down the road. It’s hard to say if they can execute or not. It’s a very tall order.”

Clearly, the industry needs to keep an eye on the market. Here’s just a few of the latest events:

  • Intel’s new foundry unit will offer a 22nm process and possibly 14nm, analysts said. Intel will likely offer 7nm, but that won’t ship until 2023.
  • TSMC continues to build new fabs in Taiwan. TSMC also plans to build a new leading-edge fab in the U.S., but it also is mulling plans to develop an even larger facility there.
  • Samsung and others plan to build new fabs.
  • All of the foundries are investing in advanced packaging, which can provide significantly better power/performance improvements than scaling alone.

Foundry shakeout
Fueled by demand for AI, automotive, mobile, servers and other products, the worldwide foundry market is expected to grow from $77.9 billion in 2020 to $91.7 billion in 2021, according to Handel Jones, CEO of IBS.

For years, foundry vendors have provided third-party manufacturing services for customers in a number of different technology markets, such as analog, CMOS image sensor, compound semiconductors, logic, MEMS and RF.

For each market, foundries develop a process technology, which “refers to the series of steps used to create integrated circuits” in a fab, explained David Schor of WikiChip, a technology site.

Several vendors, such as GlobalFoundries, Samsung, SMIC, TSMC and UMC, provide foundry services in many technology segments. Most specialize in one to several areas.

The pure-play foundry industry emerged in 1987 when TSMC, then an unknown company, offered chip manufacturing services for outside companies. Other foundry vendors soon followed.

At that time, most IC vendors were integrated device manufacturers (IDMs) and produced chips in their own fabs. In those days, IDMs dismissed the foundries, which were behind in technology.

Even back then, the leading-edge process technology market was competitive. IDMs and lagging foundries attempted to keep pace with Moore’s Law, doubling transistor density every 18 to 24 months. Because a chip consists of a multitude of transistors, which act as the switch in devices, the 18-to-24 month cadence or node required a new process technology with more transistor density.

At each node, chipmakers would scale the transistor specs by 0.7X, enabling the industry to deliver a 40% performance boost for the same amount of power and a 50% reduction in area. This, in turn, enabled IC makers to pack more transistors on a device, enabling new electronic products with more functions at lower costs.

In 2001, there were 18 chipmakers with fabs that could process 130nm chips, which was the leading-edge process at the time, according to IBS. At that time, several foundries produced chips for others at mainly mature nodes in fabs. Foundries also made chips for fabless design houses.

By 2010, fab and process costs escalated. Unable to afford the costs, many IDMs moved to a “fab-lite” model. They produced some chips in their own fabs, while outsourcing some production to foundries. Many IDMs continued to produce devices in their own fabs, while some IDMs went fabless or exited the business.

The next big change occurred at the 20nm node, when traditional planar transistors ran out of steam. Planar transistors are still used in chips at 28nm/22nm and above, but the industry needed a new solution.

That’s why Intel introduced finFETs at 22nm in 2011. Foundries followed three years later with finFETs at 16nm/14nm.

FinFETs offer better performance than planar transistors with lower static leakage. “As compared to prior planar transistors, the fin, contacted on three sides by the gate, provides much better control of the channel formed within the fin,” said Nerissa Draeger, director of university engagements at Lam Research.

But finFETs also are difficult to manufacture and scale at each node. Because of that, process R&D costs have skyrocketed. So now the cadence for a fully scaled node has extended from 18 months to 30 months or longer.

Nonetheless, with the introduction of finFETs, Intel extended its lead in the microprocessor market and process technology. Seeking to leverage the technology into new markets, Intel entered the foundry business in 2010/2011.

The company had some success. At the time, Intel manufactured FPGAs from various vendors based on its 22nm finFET process. Later, Intel produced Altera’s 14nm FPGAs. (In 2015, Intel acquired Altera.)

TSMC still dominated the foundry market at the time, and GlobalFoundries, Samsung, SMIC, UMC and others remained a force. Intel’s foundry share was tiny, but it presented a real threat due to its technology leadership.

That changed in 2016, when Intel first introduced its 10nm finFET process. The company encountered several delays at 10nm, and finally shipped chips based on the technology in 2019—more than two years later than expected.

“The company tried to design its 10nm manufacturing process with (a) goal in mind, trying to simultaneously customize the process for the IDM and make the process generic to support a more heterogeneous product roadmap and a fledgling foundry business,” said Matthew Ramsay, an analyst at Cowen, in a recent report. “In short, this helped create the 10nm mess.”

Then, in 2018, TSMC shipped the world’s first 7nm finFET process. Later, Samsung shipped 7nm. (Intel’s 10nm is equivalent to 7nm from the foundries.)

That’s important for several reasons. Foundries provide 7nm and now 5nm processes to Intel’s chip competitors. So Intel’s competitors suddenly have a leg up in process technology.

2018 was a pivotal year for other reasons. Chip manufacturing costs continued to escalate, but the return was questionable. So GlobalFoundries and UMC in 2018 halted their respective 7nm efforts. Both are still active in the 16nm/14nm market.

Also around 2018 or thereabouts, Intel more or less exited the foundry business. “The reason they failed is because they didn’t have the mindset of being a foundry,” Semiconductor Advisors’ Maire said. “They were an IDM, and perhaps they were a little arrogant. They weren’t aimed at being customer-service driven. You need a different mentality in the foundry business.”

What will Intel do?
Today, meanwhile, the foundry market is rife with new challenges. For example, starting in 2021, there has been a shortage of automotive chips. The automotive chip shortfall mainly involves devices that are produced at mature processes in older 200mm and 300mm fabs.

At present, 200mm fab capacity is tight. “Overall, the 200mm shortage is dragging on much longer than expected,” said Samuel Wang, an analyst at Gartner. “Foundries will increase wafer prices for the third time since 3Q20. Today, fabless companies are in negotiations with foundries to secure their 2022 wafer allocations.”

It’s a mixed picture at the leading edge. “There has been no shortage in 7nm and 5nm since 3Q20. That’s when Apple advanced their use of wafers from 7nm to 5nm. There is a shortage at Samsung’s 8nm node, causing problems for Nvidia and Qualcomm,” Wang said.

Then, on the geopolitical front, the U.S.-China trade war shows no signs of abating, and the situation remains tense in Asia-Pacific, particularly in Taiwan.

It’s a complicated situation. Taiwan is a self-governing entity with no political ties to China. However, China claims Taiwan is part of its territory, and one day hopes to re-unify with the island. And any outside interference with Taiwan’s political matters is seen as a threat to Beijing.

Recently, China has stepped up its military maneuvers around Taiwan, although there are no signs of an imminent attack. If that should occur, the U.S. is supposed to defend Taiwan. These scenarios are hypothetical.

These and other factors have prompted many to re-examine the chip supply chain. TSMC, which produces 92% of the world’s leading-edge chips, has all of its advanced fabs in Taiwan, according to the U.S. Semiconductor Industry Association (SIA).

So the SIA is urging the U.S. government to fund the development of advanced fabs in the U.S. “The combination of the shortages of wafer fab capacity and concerns of being over-dependent on Asia are two key drivers for expanding fab capacity in the U.S.,” IBS’ Jones said.

TSMC will keep the majority of its fabs in Taiwan. In 2020, TSMC opened the first two phases of a new fab complex in Tainan, Taiwan, according to IC Insights. Phases 1 and 2 of the new Fab 18 complex are in mass production and the facilities for phases 3-6 are under construction, according to IC Insights. Phases 1-3 are targeted for 5nm production, while phases 4-6 are geared for 3nm, according to TSMC.

In a major move outside of Taiwan, TSMC recently announced plans to build a new, mid-sized 5nm fab in Arizona, which is slated for production in 2024. Instead of building a mid-size fab, though, reports have surfaced that TSMC may build a larger fab in Arizona. “There have been rumors that they may want to build a giga-fab, a full-size fab that could supply a substantial amount of parts,” Semiconductor Advisors’ Maire said. Meanwhile, Samsung also plans to build a new U.S. fab.

Amid the turmoil, Intel sees an opportunity, prompting it to re-enter the foundry business with a new standalone foundry unit that’s open for business. To address the supply chain issues, Intel will provide foundry capacity with fabs in Europe and the U.S.

“The digitalization of every industry is accelerating the global demand for semiconductors at a torrid pace,” said Pat Gelsinger, Intel’s new CEO, at a recent event. “But a key challenge is access to manufacturing capacity. Intel is a unique position to rise to the occasion and meet this growing demand, while ensuring a sustainable and secure supply of semiconductors for the world.”

Intel plans to make most of its own chips in-house, as well as provide foundry services. For this Intel will utilize its existing fabs, with plans to build two new fabs in Arizona at a cost of $20 billion.

Intel’s foundry strategy is complicated, though. As it ramps up its own foundry business, the company will continue to outsource some of its chip production to competitive foundries, including both mature and advanced devices.

Intel’s re-entry into the foundry business prompted a cool response from TSMC, one of Intel’s foundry vendors and now a competitor. “Intel is an important customer, and we will collaborate in some areas and compete in other areas,” said C.C. Wei, president and CEO of TSMC, in a recent conference call.

Meanwhile, in its new foundry business, Intel offers an older 22nm finFET process, along with its advanced packaging technology. Beyond that, Intel hasn’t disclosed its foundry plans. In a research note, Cowen’s Ramsay speculated that Intel will re-position its existing 14nm process for the foundry market. 7nm is also a possibility.

22nm is an extension of 28nm, which was introduced more than a decade ago. Still, the 28nm planar node is a big market with several applications, including AI, IoT/edge, RF, and wearables.

22nm offers more performance than 28nm, but it’s less expensive than 14nm. 22nm is also a crowded market, where several foundry vendors are competing with different technologies. TSMC and UMC offer a 22nm bulk planar process. GlobalFoundries is shipping 22nm FD-SOI. Intel is competing with 22nm finFETs.

22nm and 28nm are targeted for many of the same applications, including automotive. “We see a good amount of growth in automotive electronics, which covers the gamut of process technologies from 0.35-micron discrete MOSFET devices to 28nm/22nm ADAS products and everything in between, such as body and chassis control, infotainment and WiFi,” said Walter Ng, vice president of business development at UMC.

Besides 22nm, Intel may join the foundry party with its existing 14nm technology. “Intel’s 14nm is arguably the most mature process in its history, making it extremely high-yielding,” Cowen’s Ramsay said. “Intel’s most politically attractive option is to gradually become a scaled foundry, utilizing its existing 14nm capacity as its own volumes move onto EUV nodes on 7nm/5nm.”

Potential customers for 14nm processes are those utilizing foundries for products between 16nm and 65nm, according to Cowen. In total, 16nm to 65nm foundry revenues represented a $35 billion market, or 46% of total foundry revenues in 2020, according to Gartner.

Leading-edge wars
It’s still unclear if Intel will offer 14nm. It likely will throw its hat in the leading-edge foundry ring, which involves 7nm/5nm and beyond. “N5 demand continues to be strong, driven by smartphone and HPC (high-performance computing) applications, and we expect N5 to contribute around 20% of our wafer revenue in 2021,” TSMC’s Wei said.

Demand is enormous for leading-edge chips. “There is a bifurcation in the chip industry, where supercomputing needs, including deep learning and other applications, are driving an insatiable demand for more computing power that will come from 3nm, 2nm, and beyond,” said Aki Fujimura, CEO of D2S.

At 7nm and below, though, static leakage has become problematic again, and the power and performance benefits have started to diminish at each node. “There are challenges for scaling, such as EPE margin, cost and high-aspect ratio patterning,” said Kazuya Okubo, vice president of integrated solution planning at TEL, in a recent presentation.

Another issue is that foundry customers have only a couple choices at the leading edge. Samsung and TSMC are the only two vendors.

SMIC, China’s largest foundry vendor, is working on a 7nm-like process, and other nodes beyond that. Recently, though, the U.S. government put SMIC on the entity list, meaning equipment suppliers must obtain special licenses to sell tools to the foundry vendor at advanced nodes. As a result, SMIC’s 7nm efforts have stalled.

Eventually, Intel is expected to compete in the leading-edge foundry business. This depends on Intel’s ability to deliver 7nm and beyond. (Intel’s 7nm is equivalent to 5nm at the foundries.)

Intel’s 7nm technology is off to a shaky start, however. When the company originally developed 7nm, it limited the use of extreme ultraviolet (EUV) lithography, a next-generation technology that patterns tiny features on chips using 13.5nm wavelengths. That meant the company was trying to pattern many difficult features using traditional 193nm optical lithography with multiple patterning, creating overlay and defect challenges. That, in turn, delayed Intel’s 7nm process.

EUV has recently matured. So at 7nm, Intel is patterning more layers using EUV, which has simplified the process and brought Intel’s technology back on track. Now, Intel plans to tape-out a 7nm product in 2021, although volume production isn’t slated until the first half of 2023, according to KeyBanc Capital Markets.

“Fixing this roadmap is by no means guaranteed, and it will likely take time as Intel has been trying for years to get a steady cadence of execution,” Cowen’s Ramsay said.

Simply put, Intel remains behind. Both Samsung and TSMC inserted EUV at 7nm two to three years ago, and they already have gained experience with the technology. Both vendors also are shipping their respective 5nm finFET processes, with 3nm just around the corner.

“TSMC’s volume ramp of 3nm finFETs is planned for Apple in Q3 2022,” IBS’ Jones said. “Samsung is on schedule with its first-generation 3nm gate-all-around (GAA) transistors for initial production Q4 2022.”

On its roadmap, TSMC plans to extend finFETs to 3nm, and then move to a next-generation transistor structure called GAA at 2nm in 2023/2024. In contrast, Samsung is moving from finFETs at 5nm to GAA at 3nm.

Both Samsung and TSMC are developing one type of GAA architecture called a nanosheet FET. An evolutionary step from finFETs, a nanosheet is basically a finFET on its side with a gate wrapped around it. Nanosheet FETs provide more performance than finFETs, but they are harder to make.

Intel also is developing nanosheet FETs, possibly for its 5nm node. It’s unclear when Intel’s 5nm will appear, although it’s doubtful the company will close the process gap anytime soon. “With the combined spending of Samsung and TSMC exceeding $50 billion every year for at least the next three years, it will be extremely difficult for any company to catch up to these two in leading-edge logic process technology,” said Bill McClean, president of IC Insights.

Advanced packaging wars
Intel can close the gap in other ways, though. Typically, to advance a design, the industry develops an ASIC using chip scaling to fit different functions onto a single monolithic die. But scaling is becoming more difficult and expensive at each node, and the power/performance benefits from scaling are shrinking.

So customers are looking at alternatives. Another viable way to develop a system-level design is to assemble complex dies in an advanced package, which allows more customized accelerators, various types of processing elements, and different interconnect strategies.

IC vendors, foundries and OSATs are working on advanced packaging in one form or another. For example, Intel is working on a chiplet strategy for a new device, code-named Sapphire Rapids, according to Cowen. Targeted for 2022, Sapphire Rapids is a server processor based on souped-up 10nm chips and other devices.

Advanced packaging is a viable option for future designs. So is traditional chip scaling. No one technology can meet all needs. So at least for now, the industry likely will embrace all of them.