200mm Demand Surges


SemiEngineering

December 17, 2020

A surge in demand for various chips is causing shortages for select 200mm foundry capacity as well as 200mm fab equipment, and it shows no signs of abating in 2021.

Foundry customers will face a shortfall of 200mm capacity at select foundries at least in the first half of 2021, and perhaps beyond. Those customers will need to plan ahead to ensure they obtain enough 200mm capacity in 2021. Otherwise they could get locked out of the market altogether, or may need to pay a premium for that capacity.

The 200mm market is a sizeable business for device and equipment makers alike. More than 200 fabs in operation worldwide today produce chips using 200mm (8-inch) diameter wafers. Chipmakers use these 200mm fabs to manufacture chips based on mature processes, ranging from the 350nm to the 90nm nodes. Analog, display drivers, power management ICs (PMICs), and RF devices are among the chips produced in 200mm fabs.

Many of these devices aren’t manufactured in today’s state-of-the-art 300mm fabs. The 300mm fabs are used to process the most advanced chips, although they also manufacture devices at mature nodes from 65nm to 28nm.

From there, the 200mm and 300mm fab markets are subdivided into two categories — integrated device manufacturers (IDMs) and foundries. IDMs design their own name-brand chips and manufacture them in their own fabs. Foundries manufacture chips for other companies in their own fabs at competitive prices. Most foundries have both 200mm and 300mm fabs.

This is not a new problem. From 2015 to 2019, 200mm fab capacity was in short supply throughout the industry simply because demand was greater than supply. Device makers wanted to expand their 200mm fab capacities, but not enough 200mm equipment was available. Then, in early 2020, the Covid-19 pandemic appeared and caused a slowdown in the entire semiconductor industry, including the 200mm market. By mid-2020, however, the market bounced back amid a surge in demand for computers, tablets, TVs, and other products.

The 200mm market also rebounded in 2020, even though not everyone has benefited equally. Some foundries are sold out of both 200mm and 300mm capacity, while other vendors are not. Many IDMs also have excess 200mm capacity. The capacity situation depends on the product mix.

“It’s an interesting situation this year. Not only is 200mm in demand, but there is also demand in general for trailing-edge and specialty products. It’s power, CMOS image sensors, RF, and those kind of products,” said Risto Puhakka, president of VLSI Research. “There is also a division in the marketplace. If you are IDMs like TI, NXP, or the standard analog guys, 2020 has been tough. The industrial, automotive, and power markets have been in a tough place that’s been impacted by the coronavirus. But at the same time, foundries are booming. The foundries are addressing what I would call the consumer markets.”

While IDMs are beginning to see a recovery right now, most foundries will continue to see strong demand for 200mm capacity in 2021. “The trailing-edge nodes are very active at the foundries,” Puhakka said. “If nothing else, it’s just accelerating.”

And as before, foundries would like to expand their capacities but still face a shortfall of 200mm equipment now and for the foreseeable future.

In the semiconductor production flow, a silicon wafer maker develops an unprocessed or raw wafer based on various diameter sizes. Wafers are sold to chipmakers, which process them into chips in a fab.

During the early days of the semiconductor industry in the mid-1960s, chipmakers processed the most advanced chips on 1.25-inch (30mm) wafers in a fab. 30mm denotes the diameter of the wafer size.

Over the years, chipmakers migrated to larger wafer sizes. By moving to larger wafer sizes, vendors produced 2.2X the number of dies per wafer, enabling them to reduce their manufacturing costs.

In the 1990s, leading-edge chipmakers migrated from 150mm to 200mm fabs. At the time, the cost to build a 200mm fab was roughly $700 million to $1.3 billion. A large percentage of the cost of a fab revolves around the equipment used to manufacture chips. Etch, deposition, and lithography systems are the common types of equipment in fabs.

200mm fabs became the leading-edge standard for years. By 2002, a total of 186 200mm fabs were in operation worldwide, up from 65 in 1995, according to Christian Dieseldorff, an analyst at SEMI.

Starting in the 2000s, many chipmakers migrated from 200mm to 300mm fabs (12-inch diameter wafers). Initially, the cost to build a 300mm fab was $2 billion to $3 billion.

Despite the migration toward 300mm fabs, 200mm plants didn’t disappear. On the contrary, a large number of chipmakers continued to produce chips in older 200mm fabs. Today, many vendors also make chips in older 150mm and 100mm fabs.

Nonetheless, 200mm was a forgotten market until 2015. At the time, demand skyrocketed for analog, RF, MEMS, and other device types, causing shortages of 200mm fab capacity.

From 2015 to 2019, 200mm fab capacity was tight. In 2020, with the exception of a lull earlier this year, foundry capacity has been tight at many vendors. 200mm capacity for the IDMs is a mixed bag.

“Demand for 200mm capacity used to be cyclical, but over the last few years, that dynamic has changed,” said Walter Ng, vice president of business development at UMC. “We have seen 200mm demand continue to outpace supply across the industry. Many devices are long-lived products, which will stay on the existing technologies for some time. Other new applications are also coming to the market, wanting to take advantage of the technology/cost benefits of the 200mm technology nodes. This creates the new norm for 200mm, with industry demand continuously outpacing industry supply for the foreseeable future.”

Equipment vendors see similar trends. “The outlook for SPTS’ 200mm wafer processing equipment is very strong, as it has been for the past 5 years or so,” said David Butler, executive vice president and general manager for SPTS Technologies, a KLA Company. “The buoyancy of the smaller wafer market is very visible in our own stats. As a percentage of our total business, sales for 200mm or smaller declined from 2010 to 2015 when it approached an approximate 50/50 split with 300mm. Then the trend reversed, and the 200mm or smaller percentage is back to 2010 levels.”

200mm capacity trends
There are several ways to look at the 200mm market. One is to break the market out into three parts — foundry vendor base, fab capacity, and equipment.

Several foundry vendors manufacture chips for others in 200mm fabs, and each company is different with various process offerings. GlobalFoundries, Hua Hong, Samsung, SkyWater, SMIC, Tower, TSMC, UMC, Vanguard, and X-Fab are among the foundry vendors with 200mm fabs.

A new 200mm foundry player, Key Foundry, entered the market in September after acquiring the foundry business from MagnaChip.

On the capacity front, meanwhile, IDMs and foundries continue to build new 200mm fabs. “In 2019, we counted five new 200mm fabs,” SEMI’s Dieseldorff said. “Seven 200mm fabs began construction work in 2020. One more, or possibly two, will start construction in 2021.”

On a regional basis, China has three new 200mm fabs in the works in 2020, while the Americas, Japan, Southeast Asia, and Taiwan each have one plant, according to SEMI. The fabs proposed for 2021 are located in the U.S.

Another way to look at capacity is fab production, which is measured by wafers per month (wpm). “In terms of wpm, we see a steady growth of about 3% to 4% each year from 2019 to 2021,” Dieseldorff said.

The industry is expected to add more than 220,000 wpm in new 200mm capacity in 2021. In total, 200mm fab capacity is expected to reach 6.4 million wpm, according to SEMI. 200mm fab capacity includes discretes, epi, LEDs, MEMS, and semiconductors.

200mm capacity is measured in other ways. For example, each process node in a 200mm fab has its own supply/demand equation. For example, PMICs and display driver ICs are in demand at the 180nm node in 200mm fabs.

Layered on top of that is a migration factor. Some chip types will continue to be produced in 200mm fabs for a long time. Still other chips are migrating from 200mm to 300mm plants.

“It is safe to say that foundry capacity across the industry both on 200mm and 300mm is very tight,” UMC’s Ng said. “As 200mm capacity has consistently filled up over the last few years, it has pushed a number of the applications to migrate to the 300mm technology nodes. So we have seen PMICs, display driver ICs, and RF-SOI designs on 80nm/90nm, as well as on 65nm/55nm. What we call legacy 300mm is being driven to a certain degree by those same high-volume end applications on the more leading-edge 200mm processes.”

Then, at many foundries, relatively mature 40nm and 28nm processes in 300mm fabs are also in demand. “These nodes are being driven by consumer and communications applications. Applications such as gaming, wireless communications, and LED drivers are just some of the driving applications. In addition, 5G and mmWave-related devices are growing quickly,” Ng said.

In 2021, meanwhile, demand for 200mm foundry capacity will remain robust for many vendors. “The tightness of 200mm supply is expected by all Taiwan foundries to last until at least the end of 2Q 2021,” said Samuel Wang, an analyst at Gartner. “One of the major reasons for the 200mm wafer demand increase is the continuously rising production of 5G smartphones and base stations. This should keep 200mm at the Taiwan foundries highly utilized throughout 2021. Some non-Taiwanese foundries serving automotive and industrial applications do not see such a surge of demand on 200mm wafers.”

In 2020, some foundries raised their 200mm prices for customers. “There are selective price increases by foundries, but it’s not across the board,” Wang said. “TSMC has openly declared it will see no 200mm price increases and has encouraged 200mm customers to move up to use 300mm fabs to maintain their long-term relationships. Other Taiwan foundries have some limited price increases. They have contract agreements with many customers that keep prices stable. But select medium- and low-volume customers have experienced more price increases.”

200mm equipment trends
At some point, IDMs and foundries must expand their 200mm capacities by building new fabs or expanding their existing plants. In either case, device makers must buy 200mm equipment.

There are several ways to procure 200mm equipment. For example, many equipment vendors, also known as OEMs, build new 200mm tools from the ground up. OEMs also take used equipment, or so-called “cores,” and refurbish it into usable systems.

Another source is the secondary or used equipment companies. These companies obtain cores and refurbish them. Some even build their own name-brand tools.

Brokers and online sites such as eBay also sell used equipment. Some chipmakers also sell used gear on the open market.

There are several avenues to buy 200mm equipment, but it’s not that simple. For one thing, there is a shortage of usable 200mm refurbished equipment in the market. Earlier this year, the industry required more than 2,000 to 3,000 new or refurbished 200mm tools or “cores” to meet 200mm fab demand, but less than 500 cores were available, according to SurplusGlobal, a supplier of secondary equipment.

Today, amid booming demand for 200mm foundry capacity, fewer cores are available than before. “It’s a more serious situation,” said Bruce Kim, chief executive of SurplusGlobal. “Every 200mm foundry is busy and fully operational at least until the end of next year.”

Because of a shortfall of used tools, a device maker in many cases must go directly to an equipment maker to buy new 200mm tools, which are relatively expensive. “For many years, the 200mm device makers were able to get used equipment cheaply. Now, you don’t have that supply anymore. Even if people have idle tools, they’re not selling them, because they can still make money with it,” VLSI Research’s Puhakka said. “Now, you have to go to the OEMs. You have to go to Applied, Lam, TEL, Kokusai, ASMI, and ASML. Those tools are not exactly affordable. The hurdle to make the investment is much higher.”

Still, 200mm tools from the OEMs are in demand. “There is certainly a shortage of used 200mm tool cores for refurbishment,” said David Haynes, managing director of strategic marketing at Lam Research. “But there remains a strong demand for 200mm equipment across many established applications in sensing, power, and RF. Demand for analog IC capacity for power management and specialized CMOS image sensor applications are particularly strong and driving customer demand. Similarly, we have seen increasing demand for discrete power device fabrication solutions, although these technologies continue to migrate to 300mm as demand grows.”

Nonetheless, after a slight lull in 2019, the 200mm equipment market is taking off again. In total, 200mm wafer fab equipment (WFE) sales were $3.6 billion in 2019, down 5% from 2018, according to VLSI Research. In 2020, the 200mm WFE market is expected to rebound and grow by 6% to 7%, according to VLSI Research. The market is expected to see similar growth in 2021, according to the firm.

Where to buy tools
Meanwhile, several vendors sell new and/or used 200mm equipment. It’s difficult to list all companies and their offerings. One way to look at the market is to highlight a few companies and explore the issues at hand.

Lithography tools, used to pattern features on wafers, are often the most difficult 200mm systems to procure in the market. One supplier, Canon, continues to develop new i-line and 248nm lithography systems at 200mm wafer sizes and smaller.

“Demand for new and used 200mm equipment is strong, but Canon has flexibility in our new equipment build schedule that allows us to increase specific unit volumes to meet market demand, while maintaining acceptable lead times,” said Doug Shelton, marketing manager at Canon. “New equipment is attractive to companies with well-defined budgets and litho system performance, productivity, functional, or product lifetime requirements. Lower-priced used equipment is attractive for customers seeking to minimize 200mm fab costs where lithography performance and output are not the main priorities. Risks associated with sourcing, refurbishing, installing, and supporting used lithography equipment can be minimized through a new tool equipment strategy.”

Several companies, meanwhile, make and sell 200mm deposition and etch equipment. Deposition involves putting thin films on surfaces. Etch tools remove materials.

“Lam has always continued to build new 200mm deposition, etch, and single wafer clean tools,” Lam’s Haynes said. “We have a proactive core acquisition program. But if we are unable to supply a refurbished tool, our team is positioned to provide a new product as an alternative.”

Demand also is picking up for refurbished 300mm systems. “In this segment, we see a great demand for refurbished 300mm tools that can meet advanced process requirements but still satisfy the capital investment targets of our customers operating in these markets,” Haynes said.

Other deposition/etch suppliers see similar trends with some challenges as well. “In broad terms, there is no specific challenge in supplying 200mm or smaller equipment,” SPTS’ Butler said. “The supply chains are mature and stable. Metrology systems are readily available. The challenges of 200mm and smaller wafers are in the wide variety of markets they support, each having very different technical needs. For instance, uniform thickness and stress control are different for piezoelectric films for RF filtering, nanometer removal of GaN (gallium nitride) layers for power, and high breakdown dielectrics deposited at less than 200°C for MEMS.”

Indeed, there is a perception that the requirements are simple for chips in 200mm fabs. On the contrary, the requirements are sometimes complex and device makers want tools with more precise processes and faster throughputs.

“We ship a lot of 200mm all surface inspection systems for foundries. Some of our customers are purchasing our new inspection systems to replace existing inspection systems, because the new tool provides better defect sensitivity,” said Damon Tsai, director of inspection product management at Onto Innovation. “Moving forward, we will need to improve the throughput for frontside, backside, and edge inspection, because the inspection sampling rates required keep going up. Backside inspection becomes more critical for yield control in specialty semiconductor applications, so how to improve backside sensitivity is a key as well.”

KLA, Onto, and others sell inspection and metrology equipment, which is also critical in 200mm fabs. Inspection equipment finds defects in chips, while metrology tools are used to measure structures.

“In 2020, we’ve seen 200mm fabs running at capacity and we project additional growth in 2021,” said Wilbert Odisho, vice president and general manager at KLA. “There is robust demand driven by 5G, automotive, display panels, and AR/VR. The largest regional growth has come from China where we expect more than ten new fabs and expansions to come online over the next two years. SiC (silicon carbide) and GaN are also driving 200mm capacity demand.”

KLA has a broad portfolio of 200mm inspection and metrology tools. “We are serving the market with refurbished 200mm KLA tools and are remanufacturing other mature product lines. We have also added 200mm capability to several current tool models,” Odisho said. “In some cases, we have relaunched specific 200mm product manufacturing to meet the large growing, mature market demand. We continue to see strong growth in 200mm, both for added systems as well as for performance and throughput enhancement upgrades. We are also meeting the challenge of product serviceability by doubling down our engineering support to ensure continuity of supply by obsolescence mitigation.”

Conclusion
To be sure, 200mm are older fabs with mature technologies, but they are here to stay.

For foundries and equipment vendors, the challenge is to meet 200mm capacity demand. But that’s easier said than done.